Standard

IEEE 61691-1-1-2004

Révisé

Note: Version actuelle: IEEE 61691-1-1-2023

Amendements et versions existants ou nouveaux doivent être achetés séparément.

Langue
Format

Résumé

New IEEE Standard - Superseded. Adoption of IEEE Std 1076-2002. VHSIC Hardware Description Language (VHDL) is defined. VHDL is a formal notation intended for use in all phases of the creation of electronic systems. Because it is both machine readable and human readable, it supports the development, verification, synthesis, and testing of hardware designs; the communication of hardware design data; and the maintenance, modification, and procurement of hardware. Its primary audiences are the implementors of tools supporting the language and the advanced users of the language.

Spécifications des produits

  • Standard de IEEE/IEC
  • Publié:
  • Type de document: IS
  • Pages
  • Editeur: IEEE/IEC
  • Distributeur: IEEE/IEC
  • ICS: 25.040
  • ICS: 35.060
  • ICS: 35.080
  • Comité national: IEEE Computer Society / Design Automation

Relations produit