Standard

IEEE 61691-1-1-2023

Actuel

Amendements et versions existants ou nouveaux doivent être achetés séparément.

Langue
Format

Résumé

Adoption Standard - Active. VHSIC Hardware Description Language (VHDL) is defined. VHDL is a formal notation intended for use in all phases of the creation of electronic systems. Because it is both machine readable and human readable, it supports the development, verification, synthesis, and testing of hardware designs; the communication of hardware design data; and the maintenance, modification, and procurement of hardware. Its primary audiences are the implementors of tools supporting the language and the advanced users of the language.

Spécifications des produits

  • Standard de IEEE/IEC
  • Publié:
  • Type de document: IS
  • Pages
  • Editeur: IEEE/IEC
  • Distributeur: IEEE/IEC
  • Comité national: IEEE Computer Society / Design Automation

Relations produit

  • Référencé par: IEEE 61523-4-2023
  • Référence: IEEE 1076-2019
  • Référence: IEEE 754-2019
  • Référence: IEEE 1735-2014
  • Référence: IEEE 1735-2014
  • Référence: IEEE 1850-2010
  • Référence: ISO/IEC 19501:2005, Information technology--Open Distributed Processing--Unified Modeling Language (UML) Version 1.4.2.
  • Référence: ISO/IEC 9899:2018, Information technology--Programming Languages--C.
  • Référence: TSO/TEC 8859-1:1998 Information technology--8-bit single-byte coded graphic character sets --Part 1: Latin alphabet No. 1.