Standard

IEEE 1364-2001

Revised

Note: Latest version: IEEE 1364-2005

Existing or new amendments and versions must be purchased separately.

Language
Services

Abstract

Revision Standard - Superseded. Supersedes 1364-1995. The Verilog(R) Hardware Description Language (HDL) is defined in this standard. Verilog HDL is a formal notation intended for use in all phases of the creation of electronic systems. Because it is both machine readable and human readable,it supports the development,verification, synthesis,and testing of hardware designs; the communication of hardware design data; and the maintenance,modification,and procurement of hardware. The primary audiences for this standard are the implementors of tools supporting the language and advanced users of the language.

Products specifications

  • Standard from IEEE
  • Published:
  • Document type: IS
  • Pages
  • Publisher: IEEE
  • Distributor: IEEE
  • ICS: 35.060
  • National Committee: IEEE Computer Society / Design Automation

Product Relations