Standard

IEEE 1076-2008

Révisé

Note: Version actuelle: IEEE 1076-2019

Amendements et versions existants ou nouveaux doivent être achetés séparément.

Langue
Format

Résumé

Revision Standard - Superseded. VHSIC Hardware Description Language (VHDL) is defined. VHDL is a formal notation intended for use in all phases of the creation of electronic systems. Because it is both machine readable and human readable, it supports the development, verification, synthesis, and testing of hardware designs; the communication of hardware design data; and the maintenance, modification, and procurement of hardware. Its primary audiences are the implementors of tools supporting the language and the advanced users of the language. (Additional downloads are available for this standard at https://standards.ieee.org/content/dam/ieee-standards/standards/web/download/1076-2008_downloads.zip)

Spécifications des produits

  • Standard de IEEE
  • Publié:
  • Type de document: IS
  • Pages
  • Editeur: IEEE
  • Distributeur: IEEE
  • ICS: 35.080
  • Comité national: IEEE Computer Society / Design Automation

Relations produit

  • Révisé par: IEEE 1076-2019
  • Référencé par: IEEE 1076.1-2017
  • Référencé par: IEEE 1149.1-2013
  • Référencé par: IEEE 1149.1-2013
  • Révisé par: IEEE 61691-1-1-2011
  • Référencé par: IEEE 1076.1.1-2011
  • Référencé par: IEEE 1850-2010
  • Référence: IEEE 1850-2005
  • Révise: IEEE 1076-2002
  • Référence: IEEE 854-1987
  • Référence: IEEE 754-1985
  • Référence: ISO/IEC 8859-1:1998-Information technology—8-bit single-byte coded graphic character sets—Part 1:Latin alphabet No. 1
  • Référence: ISO/IEC 9899:1999/Cor 2:2004-Programming languages--C, Technical Corrigendum 2
  • Référence: ISO/IEC 9899:1999/Cor 1:2001-Programming languages--C, Technical Corrigendum 1
  • Référence: ISO/IEC 9899:1999-Programming languages--C
  • Référence: ISO/IEC 19501:2005-Information technology--Open Distributed Processing--Unified Modeling Language (UML) Version 1.4.2